120 episodes

As a semiconductor industry community, 3D InCites brings to life the people, the personalities, and the minds behind heterogeneous integration and related technologies in a uniquely personal way. The goal is to inform key decision-makers about progress in technology development, design, standards, infrastructure, and implementation.The 3D InCites Podcast provides a forum for our community members to discuss all kinds of topics that are important to running a business in the semiconductor industry, from marketing to market trends, important issues that impact our industry, and our success stories.

3D InCites Podcast Francoise von Trapp

    • Technology
    • 5.0 • 6 Ratings

As a semiconductor industry community, 3D InCites brings to life the people, the personalities, and the minds behind heterogeneous integration and related technologies in a uniquely personal way. The goal is to inform key decision-makers about progress in technology development, design, standards, infrastructure, and implementation.The 3D InCites Podcast provides a forum for our community members to discuss all kinds of topics that are important to running a business in the semiconductor industry, from marketing to market trends, important issues that impact our industry, and our success stories.

    SEMI ISS Europe: Creating Resilient Semiconductor Supply Chains and The Impact of Geopolitics on Energy

    SEMI ISS Europe: Creating Resilient Semiconductor Supply Chains and The Impact of Geopolitics on Energy

    This week’s episode was recorded at ISS Europe, in Vienna, where the European semiconductor industries key strategists gathered to plan the path forward and forge a sustainable path to securing 20% of the global semiconductor market. One of the main topics continues to be building a resilient semiconductor supply chain. 
    In the first segment of the episode, Françoise speaks with Sandrine Bronner, VP of supply chain, in the semiconductor division of Edwards Vacuum. They discuss her approach to creating end-to-end semiconductor supply chain resilience.  
     
    You’ll learn how to adapt to a changing environment through a positive approach that emphasizes risk management and supplier assessment.  You’ll also learn about the benefits of diversifying suppliers of critical components, and how designing in multiple sources can help create resilience in your supply chain. 
    In the second segment of the episode, Françoise hands over the mic to Michael Alexander, of Roland Berger, who led the Panel discussion: Powering Europe's Future - The Impact of Geopolitics on Energy.  Panelists include: 
     Katharina Westrich, Global Head of Vertical Management Semiconductor, Digital Industries, Siemens AGYvonne Keil, Director Global Procurement, GlobalFoundriesNicolas Leterrier, Semiconductor Sustainability Business Leader, Schneider Electric The group discusses the energy industry’s supply shortage and geopolitical effects, particularly its impact on the European sector of the semiconductor supply chain. You’ll learn about the shift from centralized to distributed energy systems and the potential for AI to improve energy efficiency and sustainability. You’ll also learn about the need for green energy in the semiconductor industry, and the impact it may have on cost. 
     The conversation shifts to the need to invest in sustainability improvement and upgrades to legacy fabs, and the importance of being willing to pay a premium for green products. 
    The Code of Entry PodcastThe Code of Entry Podcast, hosted by the insightful Greg Bew, delves deep into the...Listen on: Apple Podcasts   Spotify
    SEMI A global association, SEMI represents the entire electronics manufacturing and design supply chain. Disclaimer: This post contains affiliate links. If you make a purchase, I may receive a commission at no extra cost to you.Support the showBecome a sustaining member!

    Like what you hear? Follow us on LinkedIn and Twitter

    Interested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more.

    Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.

    • 50 min
    International Women’s Day Special: Creating a Culture of Diversity, Equity, Inclusion and Belonging

    International Women’s Day Special: Creating a Culture of Diversity, Equity, Inclusion and Belonging

    In honor of International Women’s Day (IWD 2024), 3D InCites partnered with SEMI ISS to bring you this episode on how companies are fostering and implementing DEIB and allyship into their corporate culture. 
    Françoise von Trapp speaks with Nigel Wenden, CEO of WGNSTAR, Laura Matz, CTO of Merck KGAA Darmstadt Germany; and Mike Rosa, CMO, Onto Innovation.  They discuss their respective company’s success stories with DEIB and their efforts to foster allyship in the workplace.
     You’ll learn about some startling statistics about women and underrepresented minorities (URMs) in the technology industry, and the goals that WGNSTAR, Merck KGAA Darmstadt Germany, and Onto Innovation have set for themselves to address these numbers. 
    The three speakers talk about how a culture of DEIB will positively impact the future of technology.  They also explain their respective company’s strategies in using DEIB to address the talent shortage in the semiconductor industry. 
    The speakers also field call-in questions from listeners about fostering a culture of inclusion and building the semiconductor workforce. 
    Shari Liss, of SEMI Foundation asks how companies can foster a workplace culture that not only attracts diverse talent, but also ensures an inclusive environment where everyone, regard feels valued, respected, and empowered to deliver their best. Cath Rossi-Roos of Roos Instruments, asks about the willingness of companies to subsidize education of the next generation of engineers to solve the workforce shortage. Joy Racowski, of EMD Electronics asks how we can better frame allyship as an ongoing effort. Caryn Veach, of Kokusai Semiconductor Equipment Corporation asks for advice on creating more male allies on the engineering staff. She also wants to know how they can make women engineers in the field feel part of the company when they aren’t supported by a local office. 

    Connect with our speakers on LinkedIn:
    Nigel Wenden,  CEO, WGNSTARLaura Matz, Merck KGAA Darmstadt, Germany and AthiniaMike Rosa, Onto InnovationWGNSTAR, Inc. WGNSTAR is the leading workforce services and semiconductor asset lifecycle management partner.SEMI A global association, SEMI represents the entire electronics manufacturing and design supply chain. Disclaimer: This post contains affiliate links. If you make a purchase, I may receive a commission at no extra cost to you.Support the showBecome a sustaining member!

    Like what you hear? Follow us on LinkedIn and Twitter

    Interested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more.

    Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.

    • 34 min
    Scott Hayes and Amy Lujan Talk About The History of IMAPS DPC and The Line-up for 2024

    Scott Hayes and Amy Lujan Talk About The History of IMAPS DPC and The Line-up for 2024

    The International Microelectronics and Packaging Society’s Device Packaging Conference (IMAPS DPC) celebrates its 20th year this year. The conference takes place March 19-21, in Fountain Hills, AZ. 
     In this episode, Françoise von Trapp speaks with Scott Hayes, General Chair, IMAPS DPC, and Amy Lujan, General Chair-Elect of IMAPS DPC about the history of this event, and what sets it apart from IMAPS annual Symposium. 
    This year’s event will focus on heterogeneous integration and growth drivers for packaging, with speakers from companies like AMD, Intel, Facebook Reality Labs, and more. The General Business Council session will focus on Chips for America funding, doing business in China, and the significant growth of the U.S. semiconductor supply chain, particularly in Phoenix, AZ. 
     You’ll learn about the key applications driving technology advancements in autonomous vehicles, radar, and high-performance computing. During the panel discussion, you’ll learn about the next killer app and its potential impact of the semiconductor industry. 
    Hayes and Lujan also discuss all the networking opportunities and fund raising activities that you can take part in. 
     Contact our Guests on LinkedIn
    Scott Hayes, Technical Director, NXP Semiconductors 
    Amy Lujan, Vice President, SavanSys
    Registration for IMAPS DPC can be found here. You can also register for the Golf Tournament and Hike for DEI at the same time. 
     If you’d like to participate in the 3D InCites Member and Guest Event – the Backyard Olympics, sign up here. There is no charge to participate, and space is limited. 
     International Women’s Day is March 8th, and to celebrate, we’re partnering with SEMI to bring you an episode on how companies are fostering and implementing DEIB and allyship in their corporate culture. We’re looking for a few mentor/mentee pairs who are interested in sharing there story, as part of the episode. If you’d like to participate, drop me a line at francoise@3DinCites.com. Interviews must take place by February 23 to be included. 
    The Code of Entry PodcastThe Code of Entry Podcast, hosted by the insightful Greg Bew, delves deep into the...Listen on: Apple Podcasts   Spotify
    IMAPS Device Packaging Conference Interconnects for Tomorrow’s Applications


    Disclaimer: This post contains affiliate links. If you make a purchase, I may receive a commission at no extra cost to you.Support the showBecome a sustaining member!

    Like what you hear? Follow us on LinkedIn and Twitter

    Interested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more.

    Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.

    • 27 min
    Jean-Christophe Eloy and Jan Vardaman Explain How Chiplets and Advanced Packaging will Rule the World

    Jean-Christophe Eloy and Jan Vardaman Explain How Chiplets and Advanced Packaging will Rule the World

    In this episode, Françoise von Trapp and Jean Christophe Eloy of Yole Group, discuss the future of advanced packaging and chiplets in the semiconductor industry, and how these technologies will revolutionize the industry.  
    Get ready for a deep dive into a technology discussion. From Jean-Christophe, you’ll learn how chiplets differ from multichip modules (MCM) and systems-in-package (SiP). He highlights the benefits of chiplets, including optimizing different nodes for specific functions. You’ll also learn about important enabling technologies that ensure the fast and robust connections that hallmark chiplets.
    Françoise and Jean-Christophe also discuss the key applications using chiplets for their cost-savings and sustainability benefits. 
     In a bonus interview with Jan Vardaman of TechSearch International, Francoise and Jan discuss how chiplets provide the power efficiency needed for next-generation semiconductor devices.
    Contact Our Speakers on LinkedIn:
     
    Jean-Christophe Eloy, President and CEO, Yole GroupE. Jan Vardaman, President, Techsearch InternationalSEMI A global association, SEMI represents the entire electronics manufacturing and design supply chain. Disclaimer: This post contains affiliate links. If you make a purchase, I may receive a commission at no extra cost to you.Support the showBecome a sustaining member!

    Like what you hear? Follow us on LinkedIn and Twitter

    Interested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more.

    Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.

    • 21 min
    SEMI Europe's Laith Altimime Talks about The Implementation of th EU Chips Act and SEMI ISS Europe

    SEMI Europe's Laith Altimime Talks about The Implementation of th EU Chips Act and SEMI ISS Europe

    Françoise von Trapp and  SEMI Europe's Laithe Altimime discuss the status of the European Chips Act, which aims to double Europe's market share in global manufacturing by 2030 and increase chip production by 20%.

    The discussion focuses on European industry growth and resilience, and the importance of collaboration. They also discuss  ISS Europe which takes place in Vienna, March 6-8, 2024, and how its content differs from SEMI ISS. 

    This year's theme will focus on the microelectronics supply chain, sustainability, and workforce development. 

    You'll learn about the importance of Europe's Chips Act in maintaining competitiveness and increasing strategic positioning in the global value chain.
    You'll also better understand the need for a more resilient supply chain, particularly in light of geopolitical events and the chip shortage.

    You'll learn,  in detail, about the workforce development challenges facing the entire semiconductor industry, and the approach the EU is taking to address them.

    To learn more about ISS Europe 2024, and to register, visit the website.

    Contact Laith Altimime on LinkedIn. 


    The Code of Entry PodcastThe Code of Entry Podcast, hosted by the insightful Greg Bew, delves deep into the...Listen on: Apple Podcasts   Spotify
    SEMI A global association, SEMI represents the entire electronics manufacturing and design supply chain. Disclaimer: This post contains affiliate links. If you make a purchase, I may receive a commission at no extra cost to you.Support the showBecome a sustaining member!

    Like what you hear? Follow us on LinkedIn and Twitter

    Interested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more.

    Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.

    • 29 min
    Paul Triolo talks about Geopolitical Headwinds Impacting the Semiconductor Industry

    Paul Triolo talks about Geopolitical Headwinds Impacting the Semiconductor Industry

    At SEMI ISS, Paul Triolo, Albright Stonebridge Group (ASG) delivered a presentation on the geopolitical headwinds impacting the semiconductor industry in 2024. He explains challenges of industrial policies, export controls, and supply chain perturbations. 
     Triolo is Senior Vice President for China and Technology Policy Lead at ASG, where he is also an Associate Partner. He advises clients in technology, financial services, and other sectors as they navigate complex political and regulatory matters in the US, China, the European Union, India, and around the world.
    In this episode, Françoise von Trapp talks with Triolo about why understanding the geopolitical climate is critical for industry leaders to develop successful strategies. They discuss how geopolitics is disrupting the $5 Trillion information and communication technology sector. 
     You’ll learn about:
    The US’s “Carrots and Sticks” approach to semiconductors. The potential outcome from regional semiconductor manufacturing silos being created by the various CHIPS Acts. What’s happening with China and TaiwanIndia’s efforts in building a semiconductor infrastructure and ecosystem Follow Paul Triolo on X (formerly Twitter) @PSTAsiatech  
    Or connect with him on LinkedIn:  
    Paul Triolo, Albright Stonebridge GroupSEMI A global association, SEMI represents the entire electronics manufacturing and design supply chain. Disclaimer: This post contains affiliate links. If you make a purchase, I may receive a commission at no extra cost to you.Support the showBecome a sustaining member!

    Like what you hear? Follow us on LinkedIn and Twitter

    Interested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more.

    Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.

    • 22 min

Customer Reviews

5.0 out of 5
6 Ratings

6 Ratings

Top Podcasts In Technology

Lex Fridman Podcast
Lex Fridman
All-In with Chamath, Jason, Sacks & Friedberg
All-In Podcast, LLC
No Priors: Artificial Intelligence | Machine Learning | Technology | Startups
Conviction | Pod People
Hard Fork
The New York Times
Acquired
Ben Gilbert and David Rosenthal
TED Radio Hour
NPR